Show simple item record

dc.contributor.advisorHerbert H. Sawin.en_US
dc.contributor.authorHan, Yong-Pil, 1962-en_US
dc.contributor.otherMassachusetts Institute of Technology. Dept. of Chemical Engineering.en_US
dc.date.accessioned2006-05-15T20:23:00Z
dc.date.available2006-05-15T20:23:00Z
dc.date.copyright1999en_US
dc.date.issued1999en_US
dc.identifier.urihttp://hdl.handle.net/1721.1/32698
dc.descriptionThesis (Ph.D.)--Massachusetts Institute of Technology, Dept. of Chemical Engineering, 1999.en_US
dc.descriptionIncludes bibliographical references.en_US
dc.description.abstractThe objectives of this project are to understand the reaction mechanisms for oxide etching by both HF/H2 0 and HF/alcohol processes and to develop a vapor phase HF cleaning process to remove metallic contamination and native oxide on a silicon surface. Although the HF vapor process has been studied intensively for past several decades, the commercial application has not been very successful due to the unknown nature of the process. This study, performed at MIT, has emphasized on finding possible applications to the semiconductor industry as a replacement to the aqueous phase cleaning processes. The ultimate purpose of this project is to demonstrate feasibility of the HF vapor process for a vacuum compatible and clustered cleaning process. In this study, the etching experiments were carried out in a stainless steel vacuum chamber connected to a vacuum wafer transfer system. Samples were introduced through a load lock chamber and transferred to the HF vapor reaction chamber. The base pressure of the system was maintained under 10-7 Torr. The system can handle sample sizes between 1 cm square and 10 cm diameter silicon wafers. The etching rates were measured by an in situ ellipsometer installed on the HF vapor reactor. Ellipsometric measurements suggest that oxide etching can occur without a condensed layer or with a condensed layer on the oxide surface. The etching rates of oxide in the condensed regime were very high (3,000-12,000 A/min) compared to the gas phase regime (0-300 A/min). The etching regime in which a condensed layer is formed is a function of not only the partial pressures of HF and H 20 in the feed gas, but also a function of the mass transport of the products from the sample in the gas phase. We have categorized two different etching regimes: the gas phase regime and the condensed phase regime. In the gas phase etching regime, reactant molecules are adsorbed on the oxide surface in sub-monolayer, monolayer, or multilayer films. In the multilayer adsorption regime, the etching rate is usually low (typically 0-400 A/min) and is linearly proportional to the partial pressure of HF and H20. The etching rate in this regime is greatly affected by the temperature of the substrate. The mass transfer rate limits the etch rate of oxide in the multilayer adsorption regime. In the submonolayer or monolayer adsorption regime the etching rate is described by Langmuir- Hinshelwood kinetics. The etching rate is governed by surface kinetics in this regime. Advantages of this etching regime are: 1) smoother etched surface, 2) low selectivity to TEOS, 3) haze-free etched surface, 4) no metal attack, 5) perfect removal of native oxide, and 6) vacuum compatible process. The HF vapor process in this regime is an ideal process for contact cleaning and polymer removal after metal or via etching. Electrostatic charge on the wafer surface affects the etching reaction significantly in the non-condensed regimes. A positively charged surface enhanced the etching reaction in the submonolayer and monolayer etching regimes. Direct ionization of HF on the oxide surface is responsible for the enhancement in this regime. A negatively charged surface mainly enhanced the etching in the multilayer regime. A thicker multilayer, induced by the formation of fluorosilicate, is responsible for the etching enhancement in this regime. We have demonstrated a successful removal of sodium from both oxide and silicon surfaces using HF/H2 0, HF/IPA, and HF/H20/SiF4 processes in reduced pressure operation. All experiments were performed in a vacuum environment and in-situ XPS was used to measure the surface concentration of sodium. The sodium contamination on oxide surface was successfully removed by both HF/H 20 and HF/IPA processes. The HF/H 20 process could not remove all of sodium contamination on a silicon surface. The addition of SiF4 in the HF/H 20 process greatly enhances the cleaning effect, reducing Na contamination below the detection limit of our XPS, even on a silicon surface. Based on our study, we have reported a true gas phase and vacuum compatible HF vapor process, operated in the monolayer adsorption regime at elevated temperature. A successful removal of RIE residue was performed with a combined cleaning procedure of HF vapor and ashing process. This combined process is a perfect dry cleaning process for contact cleaning method. This process sequence is ideal for a vacuum cluster configuration in which a single wafer is processed at a time and is not exposed in the ambient.en_US
dc.description.statementofresponsibilityby Yong-Pil Han.en_US
dc.format.extent185 leavesen_US
dc.format.extent8315931 bytes
dc.format.extent8327004 bytes
dc.format.mimetypeapplication/pdf
dc.format.mimetypeapplication/pdf
dc.language.isoengen_US
dc.publisherMassachusetts Institute of Technologyen_US
dc.rightsM.I.T. theses are protected by copyright. They may be viewed from this source for any purpose, but reproduction or distribution in any format is prohibited without written permission. See provided URL for inquiries about permission.en_US
dc.rights.urihttp://dspace.mit.edu/handle/1721.1/7582
dc.subjectChemical Engineering.en_US
dc.titleHF vapor etching and cleaning of silicon wafer surfacesen_US
dc.typeThesisen_US
dc.description.degreePh.D.en_US
dc.contributor.departmentMassachusetts Institute of Technology. Department of Chemical Engineering
dc.identifier.oclc45131548en_US


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record