Show simple item record

dc.contributor.advisorJung-Hoon Chun and Nannaji Saka.en_US
dc.contributor.authorEusner, Thoren_US
dc.contributor.otherMassachusetts Institute of Technology. Dept. of Mechanical Engineering.en_US
dc.date.accessioned2011-03-07T15:20:56Z
dc.date.available2011-03-07T15:20:56Z
dc.date.copyright2010en_US
dc.date.issued2010en_US
dc.identifier.urihttp://hdl.handle.net/1721.1/61597
dc.descriptionThesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Mechanical Engineering, 2010.en_US
dc.descriptionCataloged from PDF version of thesis.en_US
dc.descriptionIncludes bibliographical references (p. 228-241).en_US
dc.description.abstractIn the fabrication of ultra-large-scale integrated (ULSI) semiconductor devices, the chemical-mechanical polishing (CMP) process is extensively employed. During the CMP process, undesirable scratches are produced on Cu interconnects both by the abrasive particles in the slurry and by the softer pad asperities. In order to meet the stringent demands of precision polishing, scratching must be mitigated or eliminated. This thesis presents scratching models by analytical contact mechanics, finite element analysis, and statistical methods. First, scratching due to hard, abrasive particles was modeled and expressions for the maximum and minimum scratch width and depth were determined. Second, scratching of a hard surface by a softer body, specifically the scratching of a Cu coating by a soft pad asperity, was modeled. It was first assumed that the asperity deforms linear elastically up to the onset of yielding. A Hertzian pressure distribution in contact with a coating, with and without friction, was modeled to determine the maximum contact pressure that can induce yielding in the coating. It was then assumed that the pad asperity deforms fully-plastically. A uniform pressure distribution in contact with a coating, with and without friction, was modeled to determine the maximum contact pressure required to induce yielding in the coating. The maximum pressure was then related to the pad asperity hardness for both contact pressure distributions. Finally, statistical asperity contact models were developed to relate the pad asperity radius of curvature to the type of asperity deformation, i.e. elastic at the onset of yielding or fully-plastic. As a result of these models, expressions that relate Cu coating scratching to the ratio of pad-to-coating hardness, coefficient of friction, and pad asperity radius of curvature were developed. The scratching by pad asperity models were validated by performing single and multi-asperity sliding experiments. The effects of pad hardness, pad asperity radius of curvature, and coefficient of friction were related to the frequency and severity of scratching.en_US
dc.description.statementofresponsibilityby Thor Eusner.en_US
dc.format.extent241 p.en_US
dc.language.isoengen_US
dc.publisherMassachusetts Institute of Technologyen_US
dc.rightsM.I.T. theses are protected by copyright. They may be viewed from this source for any purpose, but reproduction or distribution in any format is prohibited without written permission. See provided URL for inquiries about permission.en_US
dc.rights.urihttp://dspace.mit.edu/handle/1721.1/7582en_US
dc.subjectMechanical Engineering.en_US
dc.titleMulti-scale scratching in chemical-mechanical polishingen_US
dc.title.alternativeMulti-scale scratching in CMPen_US
dc.typeThesisen_US
dc.description.degreePh.D.en_US
dc.contributor.departmentMassachusetts Institute of Technology. Department of Mechanical Engineering
dc.identifier.oclc704294343en_US


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record